你的位置:首页 > 市场 > 正文

全球半导体设备格局及趋势最全解读!

发布时间:2019-03-11 来源: 责任编辑:wenwei

【导读】半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代设备。SEMI 预计 2020 年半导体设备市场将增长 20.7%,达到 719 亿美元,创历史新高。2017 年中国大陆市场需求规模约占全球的 15%左右, 2020 年预计占比将达到 20%,约 170 亿美元。全球半导体设备市场集中度高,主要有美日荷厂商垄断,国内自给率仅有 5%左右,国产替代空间巨大。
 
随着摩尔定律趋近极限,半导体行业技术进步放缓,国内厂商与全球龙头技术差距正在逐渐缩短,我们认为未来 3-5 年将是半导体设备国产替代黄金战略机遇期。
 
全球垄断,02 专项顶层设计求突破
 
半导体行业技术高、进步快,一代产品需要一代工艺,而一代工艺需要一代设备。半导体产业技术进步主要有两大方向:一是制程越小→晶体管越小→ 相同面积上的元件数越多→性能越高→产品越好;二是硅片直径越大→硅片面积越大→单个晶圆上芯片数量越多→效率越高→成本越低。
 
全球半导体设备格局及趋势最全解读!
资料来源:《中国集成电路产业投融资研究》周子学
 
半导体工艺流程主要包括单晶硅片制造、IC 设计、IC 制造和 IC 封测。单晶硅片制造需要单晶炉等设备,IC 制造需要光刻机、刻蚀机、薄膜设备、扩散\离子注入设备、湿法设备、过程检测等六大类设备。半导体设备中,晶圆代工厂设备采购额约占 80%,检测设备约占 8%,封装设备约占 7%,硅片厂设备等其他约占 5%。
 
半导体工艺流程
全球半导体设备格局及趋势最全解读!
资料来源:清科研究中心
 
一般情况下,不同的晶圆尺寸和制程的 IC 制造产线所需的设备数量不同。以每 1 万片/月产能计算,12 寸产线所需的设备数量要比 8 寸产线多,12 寸先进制程产线所需的设备数量要比 12 寸成熟制程产线设备多。
 
半导体设备属于高端制造装备,其价值量较高。比如高端 EUV 光刻机单价甚至超过 1 亿美金。总体上看,IC 制造设备市场中刻蚀机、光刻机、薄膜设备的价值量占比较高。
 
半导体制造前道设备市场规模(百万美元)
全球半导体设备格局及趋势最全解读!
资料来源:《台积电南京专项环评报告》,中芯国际
 
2020 全球预计超 700 亿美元,中国大陆占比超 20%
 
2020 年全球半导体设备市场规模预计超 700 亿美元。根据 2018 年 12 月 12 日 SEMI 在SEMICON Japan 2018 展览会上发布年终预测报告显示,2018 年新的半导体制造设备的全球销售额预计将增加 9.7%达到 621 亿美元,超过2017 年创下的566 亿美元的历史新高。预计2019 年设备市场将收缩4.0%,至 596 亿美元,但 2020 年将增长 20.7%,达到 719 亿美元,创历史新高。
 
2020 年中国大陆市场规模占比超 20%,约 170 亿美元。根据 SEMI 数据,2017 年中国大陆半导体设备销售额 82.3 亿美元,同比增长 27%,约占全球的 15%,预计 2020 年占比将超过 20%,约 170 亿美元。
 
全球半导体设备销售额及增速预测(2018.12)
全球半导体设备格局及趋势最全解读!
资料来源:SEMI 2018.12
 
半导体设备市场集中度高,CR10 超 60%。全球半导体设备生产企业主要集中于欧美、日本、韩国和我国台湾地区等,以美国应用材料、荷兰阿斯麦、美国泛林集团、日本东京电子、美国科天等为代表的国际知名企业起步较早, 经过多年发展,凭借资金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额。
 
2017 年半导体制造设备前 10 强
全球半导体设备格局及趋势最全解读!
资料来源:Gartner
 
2017 年半导体设备竞争格局
全球半导体设备格局及趋势最全解读!
资料来源:Gartner 2017
 
国产设备自给率低,技术加速追赶
 
国产设备自给率低,进口替代空间大。供给端看,根据中国电子专用设备工业协会对国内 42 家主要半导体设备制造商的统计,2017 年国产半导体设备销售额为 89 亿元,自给率约为 14.3%。中国电子专用设备工业协会统计的数据包括 LED、显示、光伏等设备,我们认为实际上国内集成电路 IC 设备国内市场自给率仅有 5%左右,在全球市场仅占 1-2%。 
 
专项顶层设计,技术加速追赶。2002 年之前,我国集成电路设备基本全进口,中国只有 3 家集成电路设备厂商,由北方微电子、北京中科信和上海微电子分别承接国家“863”计划中的刻蚀机、离子注入机和光刻机项目。2006 年,《国家中长期科学和技术发展规划纲要(2006-2020 年)》设立国家科技重大专项——极大规模集成电路制造装备及成套工艺科技项目(简称 02 专项)研发国产化设备,并于 2008 年开始实施。2008 年之前我国 12英寸国产设备为空白,只有 2 种 8 英寸设备。
 
国家支持集成电路产业发展的部分重点政策
全球半导体设备格局及趋势最全解读!
资料来源:赛迪智库在 02 专项的统筹
 
规划下,国内半导体厂商分工合作研发不同设备,涵盖了主要设备种类。目前已有 20 种芯片制造关键装备、17 种先进封装设备,通过大生产线验证进入海内外销售。
 
02 专项支持下国产设备厂商产品布局
全球半导体设备格局及趋势最全解读!
资料来源:《中国集成电路产业发展蓝皮书》中国电子信息产业发展研究院
 
国内 IC 制造设备工艺覆盖率仍比较低,国产厂商技术加速追赶。国产全部IC 设备在逻辑 IC 产线上 65/55nm 工艺覆盖率才 31%,40nm 工艺覆盖率仅17%,28nm 工艺覆盖率仅 16%;在存储芯片产线上的工艺覆盖率大概约为15-25%。
 
随着摩尔定律放缓,国产厂商技术加速追赶。以北方华创刻蚀机为例,2007 年研发出 8 寸 100nm 设备,比国际大厂晚 8 年;2011 年研发出 12 寸 65nm 设备,比国际大厂晚 6 年;2013 年研发出 12 寸 28nm 设备,比国际大厂晚 3~4 年;2016 年研发 12 寸 14nm 设备,比国际大厂晚 2~3 年。
 
北方华创刻蚀机研发进展
全球半导体设备格局及趋势最全解读!
资料来源:北方华创官网
 
硅片是半导体、光伏电池生产的主要原材料,90%以上的集成电路都是制作在高纯、优质的硅片上的。
 
1、半导体硅片的制造难度大于光伏硅片。半导体硅片纯度要求达到 99.99999999999%,即 11 个 9 以上,而普通太阳能硅片制造难度大,设备种类多级多晶硅材料纯度通常在 5-8 个 9 左右。
 
2、硅片直径越大制造难度越大。硅片制备工艺流程包括:单晶生长→截断→外径滚磨(定位槽或参考面处理)→切片→倒角→表面磨削→(刻蚀)→边缘抛光→双面抛光→单面抛光→最终清洗→(外延/退火)→包装等。
 
硅片制造流程
 
全球半导体设备格局及趋势最全解读!
资料来源:《半导体制造技术》韩郑生
 
硅片直径的增大可降低单个芯片的制造成本,目前 300mm 硅片已成为业内主流,2017 年全球 12 寸出货面积约占硅片总体的 66.1%。
 
现在:政策需求双轮驱动,大硅片国产化指日可待。根据 IC Insights 2017数据,2017 年全球硅片需求 1160 万片(等效 8 寸),国内需求 110 万片。预计 2020 年国内对 12 寸大硅片需求从 42 万片增加到 105 万片;2020 年对 8 寸硅片需求从 70 万片增加到 96.5 万片。受政策鼓励与市场需求的双重驱动,多家企业正在中国积极布局半导体大硅片项目。国内规划中的 12 寸大硅片合计:145 万片,覆盖国内需求。国内规划中的 8 寸大硅片合计:168 万片,总投资规模超过 500 亿元,覆盖国内需求。
 
过去:受市场需求不足的影响,产业化推进较为缓慢。我国的硅片制备设备经过了 30 多年的发展,已可提供直径 200mm 以下的硅片制备设备,但受市场需求量较少和国外二手设备的冲击,国产设备发展的门类并不齐全。在300mm 硅片制备设备的发展上,国内研发了单晶炉、多线切割机等几种关键设备,也通过了 300mm 硅片生产试验线的验证。但与国外设备相比,受市场需求不足的影响,产业化推进较为缓慢,同时也影响了设备技术的进步。
 
硅片设备产业化推进加快,国产厂商迎来发展良机。单晶炉方面,晶盛机电承担的 02 专项“300mm 硅单晶直拉生长设备的开发”、“8 英寸区熔硅单晶炉国产设备研制”两大项目均已通过专家组验收,8 寸直拉单晶炉和区熔单晶炉均已实现产业化,客户包括有研半导体、环欧半导体、金瑞泓等;12 寸直拉单晶炉产业化推进中,未来有望为国内大硅片项目供货。南京晶能 12 寸直拉单晶炉已进入新昇半导体大硅片产线。
 
光刻机发展历史
 
在集成电路制造工艺中,光刻是决定集成电路集成度的核心工序,该工序的 作用是将电路图形信息从掩模版上保真传输、转印到半导体材料衬底上。光  刻工艺的基本原理是,利用涂敷在衬底表面的光刻胶的光化学反应作用,记  录掩模版上的电路图形,从而实现将集成电路图形从设计转印到衬底的目的。
 
光刻工艺的基本原理
全球半导体设备格局及趋势最全解读!
资料来源:SMEE
 
光刻机分为无掩模光刻机和有掩模光刻机两大类。
 
无掩模光刻机又称直写光刻机,按照所采用的辐射源的不同可分为电子束直写光刻机、离子束直写光刻机、激光直写光刻机,分别用于不同的特定应用领域。例如,电子束直写光刻机主要用于高分辨率掩模版、集成电路原型验证芯片的制造,以及特种器件的小批量制造;激光直写光刻机主要用于特定的小批量芯片的制造。
 
光刻机分类
全球半导体设备格局及趋势最全解读!
资料来源:SMEE
 
有掩模光刻机又分为接触/接近式光刻机和投影式光刻机。接触式光刻出现于20 世纪 60 年代,是小规模集成电路(SSI)时代的主要光刻手段,主要用于生产制程在 5μm 以上的集成电路。接近式光刻机于 20 世纪 70 年代在小规模集成电路与中规模集成电路(MSI)时代早期被广泛应用,主要用于生产制程在 3μm 以上的集成电路。目前接触\接近式光刻机的国外生产商主要有德国的苏斯公司、奥地利 EVG 公司,国内生产商主要有中电科 45 所、中科院光电技术研究所等。
 
接触/接近式光刻机和投影式光刻机
全球半导体设备格局及趋势最全解读!
资料来源:SMEE
 
投影光刻机自 20 世纪 70 年代中后期开始替代接触\接近式光刻机,是先进集成电路大批量制造中的唯一光刻形式。早期的投影光刻机的掩模版与衬底  图形尺寸比例为  1:1,通过扫描方式完成整个衬底的曝光过程。
 
随着集成电路特征尺寸的不断缩小和衬底尺寸的增大,缩小倍率的步进重复光刻机问世, 替代了图形比例为 1:1 的扫描光刻方式。当集成电路图形特征尺寸小于0.25μm  时,由于集成电路集成度的进一步提高,芯片面积更大,要求一次曝光的面积增大,促使更为先进的步进扫描光刻机问世。
 
通过配置不同的曝 光光源,步进扫描技术可支撑不同的工艺技术节点,从 KrF248mm 、ArF193mm、ArF193mm 浸没式,直至 EUV 光刻。在 0.18μm 工艺节点后, 高端光刻机厂商基本采用步进扫描技术,并一直沿用至今。
 
投影光刻机的基本分辨率R=K1*λ/NA,其中 K1 为工艺因子,根据衍射成像原理,其理论极限值是 0.25;NA 为光刻机成像物镜的数值孔径;λ 为所使用的光源的波长。提高投影光刻机分辨率的理论和工程途径是增大数值孔径NA,缩减波长 λ,减小 K1。
 
为了提高光刻分辨率,在采用准分子光源后进一步缩短曝光波长,引入波长10~14mm 的极紫外光 EUV 作为曝光光源。EUV 光刻机研发难度及费用极大,英特尔、三星和台积电都曾对光刻机龙头 ASML 投资,以支持 EUV 光刻设备研发,并希望取得EUV 设备的优先权。ASML 从事 EUV 光刻机的研制已是第 12 个年头了,甚于“十年磨一剑”。2017 年,姗姗来迟的 EUV 光刻机终于进入了量产阶段。
 
ASML 光刻机发展历程
全球半导体设备格局及趋势最全解读!
资料来源:ASML
 
光刻机竞争格局
 
步进扫描投影光刻机的主要生产厂商包括ASML(荷兰)、尼康(日本)、佳能(日本)和SMEE (中国)。ASML 于 2001 年推出了 TWINSCAN 系列步进扫描光刻机,采用双工件台系统架构,可以有效提高设备产出率,已成为应用最为广泛的高端光刻机。ASML 在光刻机领域一骑绝尘,一家独占全球 70%以上的市场份额。国内厂商上海微电子 (SMEE)研制的 90nm 高端步进扫描投影光刻机已完成整机集成测试,并在客户生产线上进行了工艺试验。
 
步进式扫描光刻机主要厂商机型信息
全球半导体设备格局及趋势最全解读!
资料来源:SMEE
 
晶圆制造设备——刻蚀机
 
刻蚀原理及分类
 
刻蚀是使用化学或者物理方法有选择地从硅片表面去除不需要材料的过程。通常的晶圆加工流程中,刻蚀工艺位于光刻工艺之后,有图形的光刻胶层在刻蚀中不会受到腐蚀源的显著侵蚀,从而完成图形转移的工艺步骤。
 
刻蚀原理示意图
全球半导体设备格局及趋势最全解读!
资料来源:《半导体制造技术》MichaelQuirk 
 
刻蚀分为湿法刻蚀和干法刻蚀两种。早期普遍采用的是湿法刻蚀,但由于其在线宽控制及刻蚀方向性等多方面的局限,3μm 之后的工艺大多采用干法刻蚀,湿法刻蚀仅用于某些特殊材料层的去除和残留物的清洗。
 
干法刻蚀也称等离子刻蚀。干法刻蚀是指使用气态的化学刻蚀剂(Etchant) 与圆片上的材料发生反应,以刻蚀掉需去除的部分材料并形成可挥发性的反应生成物,然后将其抽离反应腔的过程。刻蚀剂通常直接或间接地产生于刻蚀气体的等离子体,所以干法刻蚀也称等离子体刻蚀。
 
等离子体刻蚀机可以根据等离子体产生和控制技术的不同而大致分为两大类,即电容耦合等离子体(capacitively coupled plasma,CCP)刻蚀机和电感耦合等离子体(Inductively coupled plasma,ICP)刻蚀机。在集成电路生产线上,等离子体刻蚀设备通常按照被刻蚀材料的种类分为硅刻蚀设备、金属刻蚀设备和电介质刻蚀设备三大类。
 
CCP 刻蚀机主要用于电介质材料的刻蚀工艺,如逻辑芯片工艺前段的栅侧墙和硬掩模刻蚀,中段的接触孔刻蚀,后段的镶嵌式和铝垫刻蚀等,以及在 3D 闪存芯片工艺(以氮化硅/氧化硅结构为例)中的深槽、深孔和连线接触孔的刻蚀等。
 
ICP 刻蚀机主要用于硅刻蚀和金属刻蚀,包括对硅浅沟槽(STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像(Multiple Patteming)技术中的多道工序的刻蚀等。另外,随着三维集成电路(3D IC)、CMOS 图像传感器(CIS)和微机电系统(MEMS)的兴起,以及硅通孔(TSV)、大尺寸斜孔槽和不同形貌的深硅刻蚀应用的快速增加,多个厂商推出了专为这些应用而开发的刻蚀设备。
 
随着工艺要求的专门化、精细化,刻蚀设备的多样化,以及新型材料的应用, 上述分类方法已变得越来越模糊。除了集成电路制造领域,等离子体刻蚀还被广泛用于 LED、MEMS 及光通信等领域。
 
刻蚀机行业发展趋势及竞争格局
 
随着芯片集成度的不断提高,生产工艺越来越复杂,刻蚀在整个生产流程中的比重也呈上升趋势。因此,刻蚀机支出在生产线设备总支出中的比重也在增加。而刻蚀机按刻蚀材料细分后的增长速度,则根据工艺技术的发展阶段不同呈现此消彼长的状况。例如,当 0.13μm 工艺的铜互连技术出现时,金属刻蚀设备的占比大幅下降,而介质刻蚀设备的占比大幅上升;30nm 之后的工艺中出现的多重图像技术及越来越多的软刻蚀应用,则使得硅刻蚀设备的占比快速增加。
 
国际巨头泛林集团、东京电子、应用材料均实现了硅刻蚀、介质刻蚀、金属刻蚀的全覆盖,占据了全球干法刻蚀机市场的 80%以上份额。国内厂商中微半导体在介质刻蚀领域较强,其产品已在包括台积电、海力士、中芯国际等。
 
芯片生产商的 20 多条生产线上实现了量产;5nm 等离子体蚀刻机已成功通过台积电验证,将用于全球首条 5nm 工艺生产线;同时已切入 TSV 硅通孔刻蚀和金属硬掩膜刻蚀领域。北方华创在硅刻蚀和金属刻蚀领域较强,其55/65nm 硅刻蚀机已成为中芯国际Baseline 机台,28nm 硅刻蚀机进入产业化阶段,14nm 硅刻蚀机正在产线验证中,金属硬掩膜刻蚀机攻破 28-14nm 制程。
 
晶圆制造设备——薄膜生长设备
 
采用物理或化学方法是物质(原材料)附着于衬底材料表面的过程即为薄膜生长。薄膜生长广泛用于集成电路、先进封装、发光二极管、MEMS、功率器件、平板显示等领域。
 
薄膜生长工艺类型
全球半导体设备格局及趋势最全解读!
资料来源:北方华创《集成电路专用设备-薄膜设备》
 
根据工作原理的不同,集成电路薄膜沉积可分为物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。
 
PVD 是指利用热蒸发或受到粒子轰击时物质表面原子的溅射等物理过程,实现物质原子从源物质到衬底材料表面的物质转移,从而在衬底表面沉积形成薄膜的技术。PVD 可以分为真空蒸镀和溅射两种类型。目前蒸镀主要应用于LED 电极制造,而主流 IC 制造领域已经不再采用此类设备进行薄膜制备。溅射 PVD 广泛应用于集成电路后道互连工艺中金属薄膜制备。
 
磁控直流DCPVD 可用于平面薄膜制备,如铝互连和 28nm 氮化钛硬掩膜,但在铜互连中应用减少。离子化 PVD 是磁控 DCPVD 中的一种新技术,可用于铝互连的隔离层、钨栓塞的粘附层,以及铜互连中的隔离层和籽晶层。同时,离子化PVD 和金属CVD 腔室可以结合在一个系统中,比如钨栓塞的粘附层(钛离子PVD)和隔离层(氮化钛 CVD)。
 
薄膜生长设备
全球半导体设备格局及趋势最全解读!
资料来源:北方华创 《集成电路专用设备-薄膜设备》
 
CVD 是通过混合化学气体并发生化学反应,从而在衬底表面沉积薄膜的一种工艺,用于沉积的材料包括金属材料(W, TIN, Co)、介电材料(Si02、Si, N4、掺磷二氧化硅、掺硼磷二氧化硅)和半导体材料(多晶硅、无晶硅)等。
 
在微米技术代,化学气相沉积均采取多片式的常压化学气相沉积设备(APCVD),其结构比较简单,圆片的传输和工艺是连续的。
 
1、在亚微米技术代,低压化学气相沉积设备(LPCVD)成为主流设备, 其工作压力大大降低,从而改善了沉积薄膜的均匀性和沟槽覆盖填充能力。
 
2、从 90nm 技术代开始,等离子体增强化学气相沉积设备(PECVD) 扮演了重要的角色。由于等离子体的作用,化学反应温度明显降低, 薄膜纯度得到提高,薄膜密度得以加强。
 
3、从 180nm 技术代开始,Cu 取代 Al 作为金属互连材料。金属栓塞工艺模块中的 TIN 阻挡层和W 栓塞均是采用金属化学气相沉积(金属 Metal-CVD)完成的。
 
4、从 45nm 技术代开始,为了减小器件的漏电流,新的高介电材料(High k)材料及金属栅(Metal Gate)工艺被应用到集成电路工艺中, 由于膜层非常薄,通常在数纳米量级内,所以不得不引入原子层沉积(ALD)的工艺设备,以满足对薄膜沉积的控制和薄膜均匀性的需求。
 
5、MOCVD 主要用于制备半导体光电子、微电子器件领域的各种砷化镓、氮化镓等三五族化合物,在 LED、激光器、高频电子器件和太阳能电池等领域具有规模化生产的能力。
 
外延是一种在晶片等单晶衬底上按照衬底晶向生长单晶薄膜的工艺过程。根据外延生长材料的不同,外延可以分为同质外延和异质外延。同质外延是指生长的外延层和衬底是同一种材料,异质外延是指外延生长的薄膜材料与衬底材料不同,如 SPS 技术(在蓝宝石或尖晶石上生长硅)。根据外延技术可分为分子束外延、气相外延、液相外延等。MOCVD 也可作为气相外延的一种。
 
PVD 领域,AMAT 一家独大,约占全球市场份额的 80%以上;CVD 领域, AMAT、LAM、TEL 三家约占全球市场份额的 70%以上。国内设备厂商中北方华创薄膜设备产品种类最多,目前其 28nm 硬掩膜 PVD 已实现销售,铜互连PVD、14nm 硬掩膜PVD、Al PVD、LPCVD、ALD 设备已进入产线验证。中微半导体的 MOCVD 在国内已实现国产替代。沈阳拓荆的 65nm PECVD 已实现销售。
 
晶圆制造设备——扩散及离子注入设备
 
在集成电路制造过程中,掺杂主要有扩散和离子注入两种工艺,扩散属于高温工艺,而离子注入工艺属于低温工艺。
 
扩散工艺是向硅材料中引人杂质的一种传统方法,控制圆片衬底中主要载流子的类型、浓度和分布区域,进而控制衬底的导电性和导电类型。扩散工艺设备简单,扩散速率快,掺杂浓度高,但扩散温度高,扩散浓度分布控制困难,难以实现选择性扩散。
 
离子注入工艺是指使具有一定能量的带电粒子(离子)高速轰击硅衬底并将其注入硅衬底的过程。离子注入能够在较低的温度下,可选择的杂质种类多, 掺杂剂量控制准确,可以向浅表层引人杂质,但设备昂贵,大剂量掺杂耗时较长,存在隧道效应和注人损伤。
 
全球半导体设备格局及趋势最全解读!
资料来源:光大证券研究所整理
 
 扩散炉分类及竞争格局
 
扩散炉广泛用于分立器件、电力电子、光电器件和光导纤维等行业的扩散、氧化、退火、合金等工艺中,因此按照功能不同,有时也称扩散炉为退火炉、氧化炉。扩散炉主要分为卧式扩散炉和立式扩散炉。
 
卧室扩散炉(一般用于 8 英寸以下)
全球半导体设备格局及趋势最全解读!
资料来源:北方华创《集成电路专用设备-扩散炉设备》
 
卧式扩散炉是一种在圆片直径小于 200mm 的集成电路扩散工艺中大量使用的热处理设备,其特点是加热炉体、反应管及承载圆片的石英舟(Quartz Boat) 均呈水平放置,因而具有片间均匀性好的工艺特点。
 
立式扩散炉(一般用于 8 和 12 英寸)
全球半导体设备格局及趋势最全解读!
资料来源:北方华创《集成电路专用设备-扩散炉设备》
 
立式扩散炉泛指应用于直径为 200mm 和 300mm 圆片的集成电路工艺中的- 种批量式热处理设备,俗称立式炉。立式扩散炉的结构特点是,加热炉体、反应管及承载圆片的石英舟均垂直放置(圆片呈水平放置状态),具有片内均匀性好、自动化程度高、系统性能稳定的特点,符合SEMI 标准要求,可以满足大规模集成电路生产线的需求。立式扩散炉是半导体集成电路生产线的重要设备之一,也常应用于电力电子器件(如 ICBT)等领域的相关工艺。立式扩散适用的工艺包括干氧氧化、氢氧合成氧化、DCE (二氯乙烯)氧化、氨氧化硅氧化等氧化工艺,以及二氧化硅、多晶硅(Poly-si)、氮化硅(SiN)、原子层沉积(ALD)等薄膜生长工艺,也常用于高温退火、铜退火(Cu Anneal) 及合金(Alloy)等工艺。
 
扩散设备方面,卧室扩散炉较为简单,国内基本能实现自给自足,设备厂商主要有北方华创、中电科第 48 所等。立式扩散/氧化炉设备门槛较高,全球主要厂商有东京电子(TEL)、日立国际(HKE)等,单台平均售价约为 80 万美元,国内仍主要依赖进口,只有北方华创公司能够小批量提供 300mm 立式炉产品。
 
传统的退火炉使用类似卧式扩散炉的炉管系统,一般用于直径小于 200mm 的晶圆制造。而 200mm 或者 300mm 的大尺寸晶圆一般采用立式炉及单片快速热处理(RTP)设备。相对于炉管加热退火,RTP 具有热预算少,掺杂区域中杂质运动范围小,沾污小和加工时间短等优点。RTP 设备门槛高,主要由应用材料公司、Axcelis Technology、Mattson Technology 和 ASM 等 4 家公司垄断,约占全球 90%的市场份额。
 
离子注入机是集成电路装备中较为复杂的设备之,根据注入离子的能量和剂量的不同,离子注入机大体分为低能大束流离子注入机、中束流离子注入机和高能离子注入机 3 种类型。其中,低能大束流离子注入机是目前占有率最高的注入机,适用于大剂量及浅结注入,如源漏极扩展区注入、源漏极注入、栅极掺杂以及预非晶化注入等多种工艺。中束流离子注入机可应用于半导体制造中的沟道、阱和源漏极等多种工艺。高能离子注入机在逻辑、存储、成像器件、功率器件等领域应用广泛。
 
离子注入设备厂商主要有美国的 AMAT、Axcelis 等。国内生产线上使用的离子注入机多数依赖进口,国内北京中科信、中电科 48 所、上海凯世通等也能提供少量产品。其中,中科信公司已具备不同种类(低能大束流、中束流和高能)离子注入机上线机型的量产能力。
 
晶圆制造设备——湿法设备
 
湿法工艺是指在集成电路制造过程中需要使用化学药液的工艺,主要有湿法清洗、化学机械抛光和电镀三大类。
 
湿法清洗是指针对不同的工艺需求,采用特定的化学药液和去离子水,对圆片表面进行无损伤清洗,以去除集成电路制造过程中的颗粒、自然氧化层、有机物、金属污染、牺牲层、抛光残留物等物质。
 
清洗机主要分为槽式清洗机和单圆片清洗机。槽式清洗技术是由美国无线电公司(RCA)于 1970 年提出的,它是通过多个化学槽体、去离子水槽体和干燥槽体的配合使用,完成圆片清洗工艺。
 
随着 28nm 及更先进工艺的湿法清洗对圆片表面小颗粒的数量及刻蚀均匀性的要求越来越高,同时必须达到图形无损干燥。而槽式圆片清洗机的槽体内部化学药液的差异性、干燥方式,以及与圆片接触点过多,导致无法满足这些工艺需求,现已逐渐被单圆片清洗机取代,目前槽式圆片清洗机在整个清洗流程中约占 20%的步骤。
 
槽式圆片清洗机主要厂商有日本的迪恩士(SCREEN)、东京电子(Tokyo Electron)和 JET,三家约占全球 75%以上的市场份额。韩国的 SEMES 和KCTECH 主要供给韩国市场。
 
单圆片清洗设机主要厂商有日本的迪恩士、东京电子和美国泛林集团提供, 三家约占全球 70%以上的市场份额。在国内的单圆片湿法设备厂商中,盛美半导体独家开发的空间交变相位移(SAPS)兆声波清洗设备和时序气穴振荡控制(TEBO)兆声波清洗设备已经成功进入韩国及中国的集成电路生产线并 用于大规模生产。北方华创的清洗机也成功进入中芯国际生产线。
 
(SAPS)兆声波清洗设备技术原理
全球半导体设备格局及趋势最全解读!
资料来源:盛美半导体《集成电路专用设备-湿法设备》
 
化学机械抛光(CMP)是指圆片表面材料与研磨液发生化学反应时,在研磨头下压力的作用下进行抛光,使圆片表面平坦化的过程。
 
圆片表面材料包括多晶硅、二氧化硅、金属钨、金属铜等,与之相对应的是不同种类的研磨液。
 
化学机械抛光能够将整个圆片高低起伏的表面研磨成一致的厚度,是一种圆片全局性的平坦化工艺。
 
CMP 工艺在芯片制造中的应用包括浅沟槽隔离平坦化(STI CMP)、多晶硅平坦化(Poly CMP)、层间介质平坦化(ILD CMP)、金属间介质平坦化(IMDCMP)、铜互连平坦化(Cu CMP)。
 
CMP 设备主要分为两部分,即抛光部分和清洗部分。抛光部分由 4 部分组成,即 3 个抛光转盘和一个圆片装卸载模块。清洗部分负责圆片的清洗和甩干,实现圆片的“干进干出”。
 
化学机械抛光(CMP)原理
全球半导体设备格局及趋势最全解读!
资料来源:盛美半导体《集成电路专用设备-湿法设备》
 
化学机械抛光(CMP)配套设备
全球半导体设备格局及趋势最全解读!
资料来源:盛美半导体《集成电路专用设备-湿法设备》
 
CMP 设备主要生产商有美国 AMAT 和日本 Ebara,其中 AMAT 约占 CMP 设备市场 60%的份额,Ebara 约占 20%的份额。国内 CMP 设备的主要研发单位有天津华海清科和中电科 45 所,其中华海清科的抛光机已在中芯国际生产线上试用。
 
电镀是指在集成电路制造过程中,用于加工芯片之间互连金属线所采用的电化学金属沉积。随着集成电路制造工艺的不断发展,目前电镀已经不限于铜线的沉积,还涉及锡、锡银合金、镍等金属的沉+积,但金属铜的沉积仍是其中最主要的部分。
 
电镀原理
全球半导体设备格局及趋势最全解读!
资料来源:盛美半导体《集成电路专用设备-湿法设备》
 
电镀设备主要的生产商包括 Lam Research、AMAT 以及 TEL。其中,Lam Research 在前道的镶嵌式技术电镀铜设备中占据 90%以上的市场份额,日本的东京电子在先进封装领域约占据 50%市场。盛美半导体设备已经掌握了电镀机的核心专利技术,包括多圆环阳极技术和兆声波辅助电镀技术等, 自主开发了Utra ECP 系列电镀机。
 
晶圆制造设备——工艺检测设备
 
工艺检测设备是应用于工艺过程中的测量类设备和缺陷(含颗粒)检查类设备的统称。集成电路芯片制造工艺流程中在线使用的工艺检测设备种类繁多, 应用于前段芯片制造工艺的主要检测设备分为:圆片表面的颗粒和残留异物检查;薄膜材料的厚度和物理常数的测量;圆片在制造过程中关键尺寸(CD) 和形貌结构的参数测量;套刻对准的偏差测量。
 
随着芯片结构的不断细微化和工艺的不断复杂化,工艺检测设备在先进的前段生产线中起着越来越重要的作用。目前工艺检测设备投资占整个前端工艺设备总投资的 10%~15%。
 
工艺检测设备的供应商主要有科磊半导体、应用材料、日立高新等,国内厂商主要有上海睿励科学仪器和深圳中科飞测科技。
 
封装测试设备
 
根据 SEMI 数据,2017 年全球封装测试设备市场高速增长 27.89%,销售额达到 83.1 亿美元。2017 年中国大陆半导体封装测试设备与封装模具市场增长了18.6%,达到 206.1 亿元,约为 30.53 亿美元(按统计局 2017 年度平均汇率计笲:1 美元=6.75 元),其中封装设备市场 14 亿美元,测试设备与封装模具市场为 16.53 亿美元。2017 年国内半导体设备市场规模为 82.3 亿美元,封装测试设备占比超过 1/3,达到 37.1%。
 
全球半导体封测设备市场规模及增速
全球半导体设备格局及趋势最全解读!
资料来源:SEMI
 
国内半导体封测设备市场规模及增速
全球半导体设备格局及趋势最全解读!
资料来源:SEMI
 
封装设备
 
封装和组装可分为四级,即芯片级封装(0 级封装)、元器件级封装(1 级封装)、板卡级组装(2 级封装)和整机组装(3 级封装)。在 0 级封装阶段,为了实现圆片的测试、减薄、划切工艺,与之对应的主要封装设备有圆片探针台、圆片减薄机、砂轮和激光切割机等。在 1 级封装阶段,为了实现芯片的互连与封装工艺,与之对应的主要封装设备有黏片机、引线键合机、芯片倒装机、塑封机、切筋成型机、引线电镀机和激光打标机等。在此阶段,为了实现圆片级芯片尺寸封装(WLCSP)工艺,相应的主要封装设备还有植球机、圆片凸点制造设备、圆片级封装的金属沉积设备及光刻设备等。在 2 级封装阶段,为了实现 PCB 组装工艺,与之对应的主要封装设备有焊膏涂覆设备、丝网印刷机、点胶机、贴片机、回流炉、波峰焊机、清洗机自动光学检测设备等。
 
集成电路所有的关键参数,所以花费的时间较长,但对于保证产品质量却能起到关键作用。为加快集中检测电学参数的速度,降低集成电路的测试成本, 半导体产业界开发了相关的自动测试设备(ATE)。利用计算机控制, ATE 能够完成对集成电路的自动测试。
 
ATE 价格昂贵,对测试环境要求苛刻,所以要求有高标准的测试场地,同时还要保证多台 ATE 并行运行,以保证测试的速度和效率。对于每种集成电路都要开发专门的ATE 测试程序,以保证测试自动进行。
 
近年来,测试设备商经过不断整合,形成了以日本爱德万测试(ADVANTEST) 和美国泰瑞达(TERADYNE)两大公司,其产品约占全球半导体企业测试设备市场份额的 80%以上。国内测试设备厂商有长川科技、华峰测控、广立微等。
 
通过上文对全球设备龙头的梳理,我们发现:每大类设备市场中,最终都形成了寡头竞争的格局,前三名厂商占据了绝大部分的市场份额,呈现强者恒强大者恒大的特点。
 
 
从智能手机到无人车,看AI芯片的“小趋势”
国巨高雄大社厂爆出裁员!
智能家居年度十大关键词,透露了2019哪些发展趋势?
电容器产业研究,国产任重而道远
瑞萨电子13座工厂将停产去库存,日本6座最长达2个月
特别推荐
技术文章更多>>
技术白皮书下载更多>>
热门搜索
 

关闭

 

关闭